qq网页版直接登录手机版_网站推广的目的有哪些_小程序开发定制平台_网版制作过程

杏坛网站制作

公司名称大全简单、前端开发是做什么、永久使用、不限域名、全网营销国际系统

暴雪手游?

qq网页版直接登录手机版_网站推广的目的有哪些_小程序开发定制平台_网版制作过程

</head><body><script>var title, content, author, publish_time, website, get_data, maxn, sum = 0, page = 0, every_page = 5;$(document).ready(function() {function print(start, end){function addDateZero(num) {return (num < 10 ? "0" + num : num);}var i = 0, sum = 0;$("#record1").empty();$("#record1").append('<tr class="cardLayout"><td>序号</td><td>URL</td><td>新闻标题</td><td>作者</td><td>发布日期</td></tr>');for (let list of get_data) {let table = '<tr class="cardLayout"><td>' + (i + 1) +'</td><td>';var j = 0;Object.values(list).forEach(element => {j++;if(j == 1)table += ('<a href="'+ element +'" target="'+ element +'">'+ element +'</a></td><td>');elseif(j == 4){let d = new Date(element);let formatdatetime = d.getFullYear() + '-' + addDateZero(d.getMonth() + 1) + '-' + addDateZero(d.getDate());table += (formatdatetime+ '</td><td>');}elsetable += (element + '</td><td>');});if(i >= start && i < end){sum ++;$("#record1").append(table + '</td></tr>');}i++;}if(sum == 0){$("#record1").empty();$("#record2").empty();alert('没有查询结果!');return i;}for (var j = sum; j < every_page; j ++)$("#record1").append('<tr class="cardLayout"><td></td><td></td><td></td><td></td><td></td></tr>');return i;}$('input:button[name="fr"]').click(function() {page = 1;title = $('input[name="title_text"]').val();content = $('input[name="content_text"]').val();author = $('input[name="author_text"]').val();publish_time = $('input[name="publish_time_text"]').val();if(publish_time == "YYYY-MM-DD")publish_time = "";website = $('select[name="website_text"]').val();$.get('/get_data?title='+ title +'&content='+ content +'&author='+ author +'&publish_time='+ publish_time +'&website='+ website, function(data) {get_data = data;maxn = print(0, every_page);sum = maxn;console.log(sum);document.getElementById("output").innerHTML = '共 '+ sum +' 条记录';});});$('input:button[name="se"]').click(function() {page = $('input[name="page_text"]').val();every_page = $('input[name="every_text"]').val();if(page > Math.ceil(maxn / every_page)){alert('超出范围!');return ;}print(every_page * (page - 1), every_page * page);});$('input:button[name="buttons"]').click(function() {print(every_page * (page - 1), every_page * page);});});function get_page(){page = 1;document.getElementById("page_text").value = page;}window.onload = get_page;function get_page1(){if(page == 1){alert('已为首页!');return ;}page --;document.getElementById("page_text").value = page;document.getElementById("output").innerHTML = '共 '+ sum +' 条记录';}window.onload = get_page1;function get_page2(){if(page * every_page >= maxn){alert('已为末页!');return ;}page ++;document.getElementById("page_text").value = page;document.getElementById("output").innerHTML = '共 '+ sum +' 条记录';}window.onload = get_page2;function get_toen(){page = Math.ceil(maxn / every_page);document.getElementById("page_text").value = page;}window.onload = get_toen;function get_tost(){page = 1;document.getElementById("page_text").value = page;}window.onload = get_tost;</script><h1>新闻热度查询</h1><form><br> 标题:<input class="from_text1" type="text" name="title_text"> <bd><bd> 内容:<input class="from_text1" type="text" name="content_text"> <bd><bd> 作者:<input class="from_text1" type="text" name="author_text"> <bd><bd> 时间:<input class="from_text1" type="text" name="publish_time_text" value="YYYY-MM-DD" onFocus="if (value =='YYYY-MM-DD'){value ='';this.style.color='black';}" onBlur="if (value ==''){value='YYYY-MM-DD';this.style.color='gray';}"> <bd><bd>网站:<select style="height: 25px;width: 200px;text-align: center; border-radius:4px;border: 2px solid #6aa9f6;" name="website_text"><option value="cnw">中国新闻网</option><option value="cnr">中国广播网</option><option value="soh">搜狐新闻网</option></select></bd><bd> <input class="button1" type="button" name="fr" value="查询" onclick="get_page();"></form><br><h2>查询结果</h2><div class="cardLayout" style="margin: 0 auto"><table width="100%" id="record1"></table></div><form><bd id="output"></bd><bd> 每页 <input class="from_text2" type="text" name="every_text" id="every_text" value="5"> 条</bd><bd> <input class="button2" type="button" name="buttons" value="首页" onclick="get_tost()"> </bd><bd> <input class="button2" type="button" name="buttons" value="上一页" onclick="get_page1();"><bd> 第 <input class="from_text2" type="text" name="page_text" id="page_text"> <bd> 页</bd><bd> <input class="button2" type="button" name="se" value="跳转"> </bd><bd> <input class="button2" type="button" name="buttons" value="下一页" onclick="get_page2();"> </bd><bd> <input class="button2" type="button" name="buttons" value="尾页" onclick="get_toen()"> </bd></form><h2>查询结果时间热度分析</h2><div id="time" style="width:800px; height:500px;"></div><script type="text/javascript">$(document).ready(function() {$('input:button[name="fr"]').click(function() {var myChart2 = echarts.init(document.getElementById('time'));$.get('/get_image?title='+ title +'&content='+ content +'&author='+ author +'&publish_time='+ publish_time +'&website='+ website,).done(function (result) {myChart2.setOption({tooltip: {},xAxis: {data: result[0]},yAxis: {},series: [{name:'频数',type:'line',data: result[1]}]})})})})</script><script src="https://code.jquery.com/jquery-3.3.1.min.js" integrity="sha256-FgpCb/KJQlLNfOu91ta32o/NMZxltwRo8QtmkMRdAu8=" crossorigin="anonymous"></script><script src="https://cdnjs.cloudflare.com/ajax/libs/popper.js/1.14.7/umd/popper.min.js" integrity="sha384-UO2eT0CpHqdSJQ6hJty5KVphtPhzWj9WO1clHTMGa3JDZwrnQq4sF86dIHNDz0W1" crossorigin="anonymous"></script><script src="https://stackpath.bootstrapcdn.com/bootstrap/4.3.1/js/bootstrap.min.js" integrity="sha384-JjSmVgyd0p3pXB1rRibZUAYoIIy6OrQ6VrjIEaFf/nJGzIxFDsf4x0xIM+B07jRM" crossorigin="anonymous"></script><script src="https://unpkg.com/bootstrap-table@1.16.0/dist/bootstrap-table.min.js"></script> 免费个人网站模板下载正能量网站免费进入无需下载网页制作工作描述网络编程软件正能量网站地址污的网站制作流程的组成部分包括网页平面设计培训看济南新闻备案域名注册html论坛代码大全网架网架厂家苏州网站建设相关技术北京seo运营网站宣传费用推广公司的经营范围微信营销方式有哪些宁波网络推广外包设计素材网排名近两年网络营销成功案例有没有专门找装修公司的网站企业客户管理系统ui设计素材网站有哪些ppt怎么做流程图新手学计算机编程入门app界面设计分析六个方面从零开始建网站苏州网站设计服务网站推广策划书包括哪些点武汉大学人民医院光谷院区沈阳seo顾问常州市工程建设招标网

猜你喜欢

  • 友情链接:
  • dede网站制作教程 抖音开放平台官网 湖北省最新消息今天 开通腾讯企业邮箱入口 seo课程培训入门 广西网站建设性价比高